Vididoll Modellierforum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge SucheSuche HilfeHilfe
ChatChat VotesUmfragen FilesDateien CalendarKalender
Guten Tach auch !

Anfang   zurück   weiter   Ende
Seite: 1, 2
Autor Beitrag
Willow
Experte


Beiträge: 376

New PostErstellt: 13.03.07, 19:04  Betreff: Re: Guten Tach auch !  drucken  weiterempfehlen

Hallo!!!
Auch von mir ganz herzlich willkommen!!!
Ganz liebe Grüsse,Willow
 


nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Admin

Administrator

Beiträge: 13792
Ort: Döffingen


New PostErstellt: 13.03.07, 21:10  Betreff: Re: Guten Tach auch !  drucken  weiterempfehlen

Hallo  freeangel alles OK bei dir ? haste dich garnicht mehr gemeldet



____________________
liebe Grüße von vidi -@-}--

Amateurs hope. Professionals work.
nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden Website dieses Mitglieds aufrufen
wolfes-schwester
Experte


Beiträge: 339
Ort: Hannover


New PostErstellt: 13.03.07, 22:07  Betreff: Re: Guten Tach auch !  drucken  weiterempfehlen

Hallo Geli, auch von mir einen leiben Willkommensgruß...

Bin selbst noch ganz neu hier, aber finde es total klasse!

Es gibt ja hier jede Menge Tuts und Tipps und ich finds total klasse, dass Du so locker vom Hocker mal einfach mit dem Modellieren anfangen willst!

Viel Spass dabei!

N!c




Man muss noch genug Chaos in sich haben um einen tanzenden Stern zu gebären
-F. Nietzsche_
nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden Website dieses Mitglieds aufrufen
Carmen
Experte


Beiträge: 420
Ort: Berlin


New PostErstellt: 16.03.07, 17:51  Betreff: Re: Guten Tach auch !  drucken  weiterempfehlen

Herzlich Willkommen

Gruß Carmen



nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Tina
Vielschreiber


Beiträge: 78

New PostErstellt: 20.03.07, 01:35  Betreff: Re: Guten Tach auch !  drucken  weiterempfehlen

auch von mir ein herzliches Willkommen

Tina



nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite: 1, 2
Seite 2 von 2
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Layout © subBlue design