FJ 1100/ 1200 Forum
Hier dreht sich alles rund um den Bigblock.
Ein Forum für Yamaha FJ 1100/ 1200. Hier bekommt man Hilfe und Schraubertipps.
 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
starthilfekabel über steckdose ???

Anfang   zurück   weiter   Ende
Autor Beitrag
hajo
Great Schrauber


Beiträge: 275
Ort: neuss

Meine FJ:: FJ 1200 3cv+ Xt 600 3TB


New PostErstellt: 20.02.09, 10:43  Betreff: Re: starthilfekabel über steckdose ???  drucken  weiterempfehlen

dann solltest du das eig. wissen. gehört doch mit zur grundkenntnis.

kleine kesselanlagen werden doch heute gleich mitverdrahtet. da nimmst du ja auch kein klingeldraht als zuleitung

hallo starkstrom ist was anderes als 12V

am kessel bin ich besser als viele strippenzieher sorry an alle elektriker

aber ein teil von euch hat einen kurzen in der hose

aber an kfz elo bin ich vorsichtig

wer,wie ,was  wer,wie ,was wer nicht fragt bleibt dumm tausend neue ...

ich verabschiede mich mit diesem lied

hellau hajo





fragt mal euren schutzengel wie schnell er fliegen kann
und wer übers wasser geht sollte wissen wo die steine liegen


____________________
wer übers wasser geht sollte wissen wo die steine liegen
errare humanum est ;-)))))
nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Stemondit
Viel Schrauber


Beiträge: 69
Ort: 56357 Welterod

Meine FJ:: 1XJ


New PostErstellt: 21.02.09, 08:52  Betreff: Re: starthilfekabel über steckdose ???  drucken  weiterempfehlen


Hallo Leute,

also was jemand wissen sollte, und was nicht, ist so eine Sache. Ich finde es nicht schön hier so zu schreiben. Manchmal steht man eben auf dem Schlauch. Wenn ich mir vorstelle, was ich eigentlich alles wissen müsste, und es nicht zusammenkriege, frage ich mich manchmal, ob mein galoppierender Alzheimer lebensbedrohlich ist.

Nichts für ungut, Lutz.




nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 2 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Layout © subBlue design